Simulera 74-serien 40-serien med tex Arduino

PIC, AVR, Arduino, Raspberry Pi, Basic Stamp, PLC mm.
Användarvisningsbild
swesysmgr
Inlägg: 14127
Blev medlem: 28 mars 2009, 06:56:43
Ort: Göteborg

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av swesysmgr »

MattisLind skrev: 17 februari 2021, 15:24:49 Såklart att det var Xilinx.. Jag var temporärt förvirrad. Jag har båda verktygen nedladdade men mest hållit på med Xilinx.

http://bitsavers.informatik.uni-stuttga ... _Vol_2.pdf

Sid 302

Klurigheten är ju lite att utgångarna är rena emitter och kollektor. Som går in i utgångssteget på en 745x.
Tack för databoken, råkade bli omlott-postning där. Får titta på hur signalen från 5460 ser ut, den logiska formeln borde bara vara att skriva i VHDL.
Datablad SN7453.jpg
Du har inte behörighet att öppna de filer som bifogats till detta inlägg.
MattisLind
Inlägg: 738
Blev medlem: 27 maj 2011, 20:27:12
Ort: Älvsjö
Kontakt:

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av MattisLind »

JimmyAndersson skrev: 17 februari 2021, 13:46:24 Jag har också funderat över det som Swech skriver.
Ett bra exempel på detta från ett annat sammanhang:
https://electronics.stackexchange.com/q ... ltisim-why
Att använda digital IC linjärt går ju och görs. Men just de IC som används linjärt är oftast enkla inverterare eller buffertar. De är ju knappast de man är ute efter när man vill bygga en emulering / ersättning. Sådana finns ju att få tag på ganska lätt. Har aldrig sett någon design där man kopplat in linjära saker på en mer komplicerad MSI IC. Klart att det kan finnas exempel men det kommer ju inte tillhöra vanligheten och inte något man ska bygga sin design / krav spec efter.

Det som kan ställa till problem är det IC som inte har helt vanliga in och utgångar. 7453 / 7462 är exempel på en slags wire-oring som nog gör det lite mer komplext. Kanske inte omöjligt
Användarvisningsbild
Swech
EF Sponsor
Inlägg: 4689
Blev medlem: 6 november 2006, 21:43:35
Ort: Munkedal, Sverige (Sweden)
Kontakt:

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av Swech »

Tråden skapades å andra sidan för att ersätta allehanda 74xx kretsar där det i vissa fall inte ens går att byta till motsvarande 74hcxx eller 74lsxx
Den gamla godingen pal18cv8 skulle säkerligen funka också men den är väl också borta ur rullorna...

Swech
Användarvisningsbild
Micke_s
EF Sponsor
Inlägg: 6741
Blev medlem: 15 december 2005, 21:31:34
Ort: Malmö

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av Micke_s »

GAL16v8 hittar du fortfarande.

Arrow.
https://www.arrow.com/en/products/gal16 ... e=octopart

Aliexpress så finns det en bunt också...större risk att de inte fungerar kanske..
https://www.aliexpress.com/af/GAL16v8.html
Användarvisningsbild
4kTRB
Inlägg: 18289
Blev medlem: 16 augusti 2009, 19:04:48

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av 4kTRB »

PAL var vanligt. 82S100 användes en del.
Användarvisningsbild
Micke_s
EF Sponsor
Inlägg: 6741
Blev medlem: 15 december 2005, 21:31:34
Ort: Malmö

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av Micke_s »

Microchip tillverkar sina fortfarande.
https://www.digikey.com/en/products/det ... JU/1008554

Hittade denna arduinokod också https://github.com/ole00/afterburner
Användarvisningsbild
4kTRB
Inlägg: 18289
Blev medlem: 16 augusti 2009, 19:04:48

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av 4kTRB »

Användarvisningsbild
GeekJoan
Admin
Inlägg: 10642
Blev medlem: 26 maj 2003, 15:59:27
Ort: Solna

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av GeekJoan »

Alltså, jag vet ju inte vad som krävs mer än själva FPGA kretsen. I mitt huvud så tar den 5V och jord från kortet precis som 74'an gör. Sen är det ju bara signaler in och ut. Men om det är så att dom här små bara hanterar 3.3V så behövs det så klart lite runt om kring grejer. Nu är ifs inte det några stora grejer, så det känns som man knöla in allt på ett litet kort som inte borde behöva bli så mycket större än en original DIP.
level-shifter.jpg
Du har inte behörighet att öppna de filer som bifogats till detta inlägg.
MattisLind
Inlägg: 738
Blev medlem: 27 maj 2011, 20:27:12
Ort: Älvsjö
Kontakt:

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av MattisLind »

Du får välja en CPLD med 5V toleranta I/O. Många är det. Dock inte Xilinx XC2C32 som jag pekade på förut. Att utnivåerna bara kommer upp till 3,3V funkar ju bra för TTL som är ok med 2V och uppåt. Ingångarna är också TTL kompatibla på 3,3V devicen. Titta på XC9536XL t ex.
gkar
Inlägg: 1453
Blev medlem: 31 oktober 2011, 15:28:29
Ort: Linköping

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av gkar »

Varför inte använde ett prom eller flash som är årets variant av dem. Sedan är det bara att fylla dem med logiktabellen man önskar. Allt utom flipfloppar bör fungera.
AllT för 92 cent...
https://www.microchip.com/wwwproducts/en/SST39SF010A
För open collector/drain får man nog lägga till en trissa...
Användarvisningsbild
4kTRB
Inlägg: 18289
Blev medlem: 16 augusti 2009, 19:04:48

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av 4kTRB »

Det finns för många alternativ så risk finns att intresset svalnar.
Man orkar inte med att sätta sig in i alla alternativ.
MattisLind
Inlägg: 738
Blev medlem: 27 maj 2011, 20:27:12
Ort: Älvsjö
Kontakt:

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av MattisLind »

gkar skrev: 17 februari 2021, 22:43:36 Varför inte använde ett prom eller flash som är årets variant av dem. Sedan är det bara att fylla dem med logiktabellen man önskar. Allt utom flipfloppar bör fungera.
AllT för 92 cent...
https://www.microchip.com/wwwproducts/en/SST39SF010A
För open collector/drain får man nog lägga till en trissa...
Det är ju ett klassiskt knep. Fast problemet blir att eftersom ROM har separata, ej programmerbara in och utgångar så måste man bygga varje ersättare mer eller mindre unik. Bara de 74xx med samma in och ut uppsättning kan ersättas med en sådan. Annars får man skapa ny layout. Med en CPLD får man inte det problemet då in och utgångar är programmerbara.
Och de kan hantera OC, programmerbart.

Jag tycker en CPLD TTL ersättare skulle vara intressant om man kunde hitta en CPLD i liten kapsel som dessutom är 5V tolerant. Man vill få in dem på en 14 pin eller 16 pin 7,62 mm plats. Dessutom ska CPLDn vara ganska billig och ersättaren ska gå snabbt att programmera, t ex med Tag-connect eller liknande.
Användarvisningsbild
swesysmgr
Inlägg: 14127
Blev medlem: 28 mars 2009, 06:56:43
Ort: Göteborg

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av swesysmgr »

GeekJoan skrev: 17 februari 2021, 19:47:36 Alltså, jag vet ju inte vad som krävs mer än själva FPGA kretsen. I mitt huvud så tar den 5V och jord från kortet precis som 74'an gör. Sen är det ju bara signaler in och ut. Men om det är så att dom här små bara hanterar 3.3V så behövs det så klart lite runt om kring grejer. Nu är ifs inte det några stora grejer, så det känns som man knöla in allt på ett litet kort som inte borde behöva bli så mycket större än en original DIP.
level-shifter.jpg
Problemet är att 5V kretsar är på väg bort från FPGA/CPLD-marknaden, du riskerar att hamna i samma situation igen om ett par år med problem att få tag på rätt kretsar och det nedlagda arbetet blir bortkastat.

Vill du däremot ha ett helt flexibelt byggblock som kan ersätta kretsar med alla tänkbara logikfunktioner och fungera med både TTL och HCMOS då behövs dubbelriktad omvandling. Det går att lösa som i din omvandlare men då kräver 8 pinnar med signaler 8st logiknivå-mosfet och 16st pull-up motstånd.

Med en färdig omvandlarkrets kan du i produktionsversionen få detta på en 3x3mm BGA + två kondensatorer. Kostar lite mer men blir kompaktare och antagligen med robust eftersom dessa är ESD-skyddade och alltid ger rätt signalnivåer in och ut.
Användarvisningsbild
Micke_s
EF Sponsor
Inlägg: 6741
Blev medlem: 15 december 2005, 21:31:34
Ort: Malmö

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av Micke_s »

Beroende på hastighet så kanske PSOC5 fungerar. Klarar 5v och skapa open drain går rätt så enkelt.
https://www.digikey.com/en/products/det ... 30/4177127
psoc.png
Edit: Tror tiden för PSOC5 logikgrindar är liten, tror jag har ett evalkort på jobbet jag kan testa...
Du har inte behörighet att öppna de filer som bifogats till detta inlägg.
Användarvisningsbild
Micke_s
EF Sponsor
Inlägg: 6741
Blev medlem: 15 december 2005, 21:31:34
Ort: Malmö

Re: Simulera 74-serien 40-serien med tex Arduino

Inlägg av Micke_s »

Labbade lite till i PSOC Creator..

Verkar gå göra mycket spännande där.
Du har inte behörighet att öppna de filer som bifogats till detta inlägg.
Skriv svar