Sökningen fann 8 träffar
- 20 oktober 2007, 13:25:15
- Kategori: Mjukvara / Litteratur
- Tråd: VHDL - Hjälp
- Svar: 2
- Visningar: 1104
VHDL - Hjälp
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; -- 4 bitars SAR entity sar4 is port( clk_50, data, startn: in std_logic; -- startar konvertering vid startn = '0' convc: out std_logic:='0'; -- convc visar '0' efter avslutad konvertering dout: out std_logic:='0'; -- seriel...
- 10 oktober 2007, 19:57:46
- Kategori: Allmän Elektronik
- Tråd: Lågpass, högpass samt bandpass filter.
- Svar: 6
- Visningar: 1024
Kondensator i serie med signalen, HP. Motstånd i serie, LP. Skulle du kunna utveckla ditt resonemang? Google ger mycket info om lågpassfilter etc. Och en sökning på RC filter gav detta. http://www.es.lth.se/ugradcourses/grundel/svarbode.pdf Jo, givetvis, har kollat en del där men tänkte om något er...
- 9 oktober 2007, 17:20:46
- Kategori: Allmän Elektronik
- Tråd: Lågpass, högpass samt bandpass filter.
- Svar: 6
- Visningar: 1024
Lågpass, högpass samt bandpass filter.
Fick aldrig något direkt svar på min fråga. I alla fall hur kan man se på en krets (utan datablad) om det är ett LP, HP eller BP filter. Jag har för mig att det finns några enkla regler, t.ex. om kondensatorn ligger i serie med resistor och skickar signalen till GND...
Tacksam för svar.
Tacksam för svar.

- 20 september 2007, 16:08:55
- Kategori: Optokomponenter
- Tråd: Fråga om HP och LP-filter
- Svar: 1
- Visningar: 987
Fråga om HP och LP-filter
Jag undrar hur man kan se på en krets om det är HP eller LP filter.
- 16 september 2007, 20:40:44
- Kategori: Mjukvara / Litteratur
- Tråd: Kommandot force i modelsim.
- Svar: 8
- Visningar: 1396
- 16 september 2007, 17:37:01
- Kategori: Mjukvara / Litteratur
- Tråd: Kommandot force i modelsim.
- Svar: 8
- Visningar: 1396
Najs, ska nog pröva på båda två, dock verkar force kommandot lite rostigt. Så här är mind VHDL-kod, kan påpeka att det blir väldigt konstig när jag kör force kommandona. Det är enbart clk och tre andra signaler som blir bra. Rehnmaak, har du lite fler tips? library ieee; use ieee.std_logic_1164.all;...
- 16 september 2007, 16:43:40
- Kategori: Mjukvara / Litteratur
- Tråd: Kommandot force i modelsim.
- Svar: 8
- Visningar: 1396
- 16 september 2007, 15:50:45
- Kategori: Mjukvara / Litteratur
- Tråd: Kommandot force i modelsim.
- Svar: 8
- Visningar: 1396
Kommandot force i modelsim.
Hej, är ny här på forumet och passar på att fråga en sak gällande programmet modelsim. Jag har skrivit en VHDL-kod och vill gärna se hur utsignalerna ser ut genom att simulera min kod. Dock händer det inte så mycket med utsignalerna efter att jag har simulerat koden. Jag har läst lite om något som k...