Re: Köpråd ang. FPGA-kort
Postat: 27 juli 2014, 10:37:28
Jag börjar med VHDL men det känns som att det är bra att "kunna" båda. 

Svenskt forum för elektroniksnack.
https://elektronikforumet.com/forum/
Du kan ju inte bara haspla ur dig såna där saker utan att ha några som helst belägg eller förklaringar om vad du talar om.blueint skrev:Har för mig det finns vissa triggerlägen som inte kan uttryckas i Verilog men däremot i VHDL.
Tjaa, det är nog mest en vanesak.Andax skrev:Vhdl känns även mindre grötigt.
Kod: Markera allt
process (clk)
begin
if rising_edge(clk) then
sig1 <= sig2;
end if;
end process;
Kod: Markera allt
always @(posedge clk)
sig1 <= sig2;
Nu är det ganska omständigt att leta upp den specifika filen samt projektbiblioteket. Installera rätt miljö och sätta sig in i koden igen för att skriva en rad som övertygar dig. Mitt minne är klart på denna punkt. Verilog fungerar till det mesta men i vissa kniviga signaltrigger sammanhang så går det inte riktigt att få till uttrycken korrekt. Det är en observation som kan vara bra att ha i åtanke om man går inför Verilog.stekern skrev:Du kan ju inte bara haspla ur dig såna där saker utan att ha några som helst belägg eller förklaringar om vad du talar om.
Antingen kollar du väl upp vad du talar om eller så säger du ingenting?
Vad betyder det rent praktiskt ? T.ex vad är det man inte skulle kunna göra eftersom det 'bara' är 100k grindar ? 100k låter annars som väldigt många grindar.blueint skrev:Basys2-100 använder en "Xilinx Spartan 3E FPGA, 100K gates" (XC3S100E CP132). Det jag skulle vara observant på är att det är max 100k grindar. Det är rätt lite och man får snabbt smak på många grindar.