Sökningen fann 1958 träffar

av Korken
5 maj 2015, 21:39:03
Kategori: Projekt
Tråd: Korkens Optical Flow sensor
Svar: 239
Visningar: 76972

Re: Korkens Optical Flow sensor

Artix-7 stödjer DDR3, DDR2 och LPDDR2 i generatorn som skapar ett memory interface (MIG). När det kommer till signalintegritet så är det standard: matchad längd på banor, 50 ohm för single ended och 100 ohm för differentiell. Minnesåtkomst har jag inte kollat på än, jag har bara beräknat hur snabbt ...
av Korken
5 maj 2015, 16:21:27
Kategori: Projekt
Tråd: Korkens Optical Flow sensor
Svar: 239
Visningar: 76972

Re: Korkens Optical Flow sensor

För Artix-7 så görs allt i mjukvara, den har On Die Termination (ODT) så man kan välja lite hejvilt. Men jag kör med 50 Ohm vilket också rekommenderat för DDR2, vilket jag kör med (behövde inte hastigheten i DDR3). För Zynq så är jag lite osäker, där måste jag snegla i manualen. :) UG933, sidan 63 s...
av Korken
4 maj 2015, 23:02:31
Kategori: Programmering
Tråd: Fråga om VHDL (Xilinx FPGA)
Svar: 41
Visningar: 33040

Re: Fråga om VHDL (Xilinx FPGA)

Tack för tipset! :D Ändrade type intarray_type is array (natural range <>) of integer; till subtype small_int is integer range 0 to (integer(ceil(log2(real(N))))) ; type intarray_type is array (natural range <>) of small_int; och nu så blev det inga errors alls! Dock lite konstigt då de första stege...
av Korken
4 maj 2015, 22:49:11
Kategori: Projekt
Tråd: Korkens Optical Flow sensor
Svar: 239
Visningar: 76972

Re: Korkens Optical Flow sensor

Grejen är att jag inte vet hur varm den kommer bli. :)
Så just nu tänkte jag förbereda för det värsta och sen hoppas jag på att jag slipper kylning.
Men att göra 200 000 FFTer/s kanske genererar lite värme. :roll:

Men tack för idén, ska kolla på sånna kylflänsar!
av Korken
4 maj 2015, 21:57:13
Kategori: Programmering
Tråd: Fråga om VHDL (Xilinx FPGA)
Svar: 41
Visningar: 33040

Re: Fråga om VHDL (Xilinx FPGA)

Tack för att du fick mig att tänka på ett nytt sätt! :D Resultatet blev mycket snyggare kod och helt generisk. Den lägger automatisk till fler steg i adder trädet för att allt ska stämma samt att den kollar med en assert att N är korrekt. :) Det enda jag inte gillar är att när gen konveterar integer...
av Korken
4 maj 2015, 18:20:38
Kategori: Projekt
Tråd: Korkens Optical Flow sensor
Svar: 239
Visningar: 76972

Re: Korkens Optical Flow sensor

Jag håller på att göra om lite kortet för FPGA delen och kom att tänka på en sak, kylfläns! Jag tänkte först att man bara tar värmeledande lim och fäster flänsen, men det känns inge bra från ett mekaniskt perspektiv. Det jag skulle vilja göra är montera den med 2 skruvar eller så... Hur brukar ni mo...
av Korken
4 maj 2015, 11:38:15
Kategori: Projekt
Tråd: Korkens Optical Flow sensor
Svar: 239
Visningar: 76972

Re: Korkens Optical Flow sensor

Agwan: Jag har lekt lite med Zynq:en, jag skaffade ett ZYBO från Digilent Inc. för ett tag sen och använder det för att testa den lite. Den är helt okej, men gillar inte riktigt att man måste använda AXI intefacet hela tiden då jag är van att ha mina parallell bussar osv. När det kommet till att kö...
av Korken
4 maj 2015, 11:31:40
Kategori: Programmering
Tråd: Fråga om VHDL (Xilinx FPGA)
Svar: 41
Visningar: 33040

Re: Fråga om VHDL (Xilinx FPGA)

Tackar!!! Det tänkte jag inte på! :tumupp:

Jag ska testa detta ikväll och se om jag lyckas med något magiskt! :D
av Korken
3 maj 2015, 18:01:44
Kategori: Programmering
Tråd: Fråga om VHDL (Xilinx FPGA)
Svar: 41
Visningar: 33040

Re: Fråga om VHDL (Xilinx FPGA)

Tack för svaret! Jag testade med generate, men problemet va att signaler som skapas i en generate function kan inte kommas åt utifrån. Jag hittade fler som vill göra det jag vill också efter mer sökande, och ingen hittade en lösning - så jag tror jag gör en baskomponent med fixed width (typ 32 eller...
av Korken
3 maj 2015, 17:23:11
Kategori: Projekt
Tråd: Korkens Optical Flow sensor
Svar: 239
Visningar: 76972

Re: Korkens Optical Flow sensor

Tackar! :) Det va precis min tanke, vill man köra stereo (eller mer) så ska det vara enkelt. Kortet är 40 x 40mm, tog i lite (hade funkat med 35 x 35mm) men ville ha lite plats för att det ska vara enklare i framtiden att använda samma storlek för en LED flash, om det skulle behövas. Angående kontak...
av Korken
3 maj 2015, 13:51:43
Kategori: Programmering
Tråd: Fråga om VHDL (Xilinx FPGA)
Svar: 41
Visningar: 33040

Re: Fråga om VHDL (Xilinx FPGA)

Nu ska vi köra på en ny fråga i VHDL djungeln! :) Jag håller på att lär mig om generics (alltså att man kan generiskt skapa en modul genom att specificera dess storlek), och det fungerar hittills bra, men jag har en idé nu som jag är osäker på hur jag ska göra. Det jag funderar på är automatisk gene...
av Korken
2 maj 2015, 17:56:04
Kategori: Projekt
Tråd: Korkens Optical Flow sensor
Svar: 239
Visningar: 76972

Re: Korkens Optical Flow sensor

Godagens igen! Nu har denna runda av experiment avslutats så jag har tid för detta projekt igen! :) Vad har hänt? Inte jättemycket, men jag har börjat få blodad tand för "machine vision" så har börjat läsa in mig på området. Extremt intressant område! Samt att FPGAer är som gjorda för det,...
av Korken
28 april 2015, 07:24:42
Kategori: Allmän Elektronik
Tråd: 340 Mbps LVDS i flat flex kabel?
Svar: 3
Visningar: 1377

Re: 340 Mbps LVDS i flat flex kabel?

Jo precis, har man grejer så kan man mäta upp de :) Jag va mest fundersam då jag hittade en guide som sa att under 0.5 meter så behöver man inte bry sig i impedansen, men det låter väldigt konstigt.. :humm: Finns det nå trevliga flatflex liknande kablar som är impedansmatchade? Hittar inget bra på D...
av Korken
27 april 2015, 14:42:52
Kategori: Allmän Elektronik
Tråd: 340 Mbps LVDS i flat flex kabel?
Svar: 3
Visningar: 1377

340 Mbps LVDS i flat flex kabel?

Godagens! Jag har ett tag nu jagat runt om man vågar köra en 340 Mbps LVDS i en flat flex kabel (0.5mm pitch, 100mm lång max). Det jag inte hittar är impedans av kabeln samt om folk har testat. Är det någon här som har erfarenhet av detta? Samt, om man inte ska köra flat flex kabel, vad rekommendera...
av Korken
18 april 2015, 09:05:33
Kategori: Mätinstrument / Verktyg / Labbutrustning
Tråd: Keysight refurbished oscilloscopes?
Svar: 12
Visningar: 17987

Re: Keysight refurbished oscilloscopes?

Oj! 45kkr för ett skåp (beggat) :shock: Vad har det som inte andra har? Förstår ju att prestanda och minnesdjup bör vara lite extra, men vad har dessa skåp som du behöver och använder som dom något enklare inte har? (nyfiken) :wink: Edit: surfade lite och jag tycker det ser ut som att Keysight MSOX...