Sökningen fann 1958 träffar

av Korken
19 mars 2015, 18:17:49
Kategori: Projekt
Tråd: Agwans reflowugn
Svar: 22
Visningar: 6524

Re: Agwans reflowugn

Om du vill leka lite med regleringen så fick jag en ide. Anta typ en andra/tredje ordningens model för ugnen och gör lite tester för att hitta bra parametrar till modellen. Efter det, se för vilken derivata som styr-signalen kommer in. Tex har du ett andra ordningens system så kommer den in på andra...
av Korken
15 februari 2015, 11:27:54
Kategori: Allmän Elektronik
Tråd: Någon som provat "superdatorn" nVIDIA Jetson TK1?
Svar: 3
Visningar: 1348

Re: Någon som provat "superdatorn" nVIDIA Jetson TK1?

Dedär skulle man kunna göra mycket kul med!
Hittar dock inte någon stanns som man kan köpa SoCen för sig, släpps kanske senare. :humm:
av Korken
8 februari 2015, 18:26:18
Kategori: Allmän Elektronik
Tråd: PoE: Isolerad eller ej?
Svar: 14
Visningar: 1907

Re: PoE: Isolerad eller ej?

Jag tackar för all information! :) När det kommer till att designa produkter med PoE så är det inte aktuellt just nu. Och ska jag väl göra det så blir det att anlita någon som får fixa den delen (eller kolla på min design och ge feedback). :) Gillade start projektet du länkade! Blir nog att gå med i...
av Korken
6 februari 2015, 09:01:57
Kategori: Projekt
Tråd: Korkens Optical Flow sensor
Svar: 239
Visningar: 76942

Re: Korkens Optical Flow sensor

qx5: Det som tar mest tid för mig är längdmatchning. Jag har inte vanan att göra det så gör massa nybörjarfel. Tex så gav jag inte nog med plats från början så när jag ska längdmatcha så blir det massa att rita om för att få plats. Samt jag kollade inte vilken som va min teoretiskt längsta bana inn...
av Korken
5 februari 2015, 16:58:26
Kategori: Projekt
Tråd: Korkens Optical Flow sensor
Svar: 239
Visningar: 76942

Re: Korkens Optical Flow sensor

Tyvärr stått lite stilla ett tag. Hoppas kunna göra klart hela designen i helgen.
Står just nu på ish 80% av DDR layouten.
av Korken
29 januari 2015, 14:08:07
Kategori: Allmän Elektronik
Tråd: PoE: Isolerad eller ej?
Svar: 14
Visningar: 1907

Re: PoE: Isolerad eller ej?

Hmm, intressant. :tumupp:

Har du länk till exempelkomponenter?
Är inte helt säker på hur man skulle göra det.
av Korken
29 januari 2015, 09:16:17
Kategori: Allmän Elektronik
Tråd: PoE: Isolerad eller ej?
Svar: 14
Visningar: 1907

Re: PoE: Isolerad eller ej?

Micke_s:
Mycket sant! Jag kollar på den kostnaden också just nu för att motivera bättre. :)

lond:
De va de jag kollade på till en början, men tyckte de va allt för dyra.
Då att bygg de själv blir nästan halva priset så håller jag mig till det. :)
av Korken
28 januari 2015, 16:24:00
Kategori: Allmän Elektronik
Tråd: PoE: Isolerad eller ej?
Svar: 14
Visningar: 1907

Re: PoE: Isolerad eller ej?

Jo precis, det är lite mer än bara en transformator. Räknade ihop vad PoE delen blir totalt i ett projekt (riktigt isolerat osv): - PoE trafo (pulstrafo): 31 kr, http://www.digikey.se/product-detail/en/PA1260NLT/553-2079-1-ND/3687455 - PoE IC: 26 kr, http://www.digikey.se/product-detail/en/NCP1081DE...
av Korken
28 januari 2015, 14:31:03
Kategori: Allmän Elektronik
Tråd: PoE: Isolerad eller ej?
Svar: 14
Visningar: 1907

Re: PoE: Isolerad eller ej?

Okej, så det är för att skydda saker och inte folk?
Hmm, gör jag det vill jag göra det rätt, men varför är dessa transformatorer så dyra för... :|
av Korken
28 januari 2015, 14:24:03
Kategori: Allmän Elektronik
Tråd: PoE: Isolerad eller ej?
Svar: 14
Visningar: 1907

Re: PoE: Isolerad eller ej?

Tackar!

Men varför behöver man isolering, om något kommer ut från min grej, när det bara är 48V i systemet?
Eller kan PoE gå fel så nätspänning kommer i kabeln?
av Korken
28 januari 2015, 10:46:25
Kategori: Allmän Elektronik
Tråd: PoE: Isolerad eller ej?
Svar: 14
Visningar: 1907

PoE: Isolerad eller ej?

Godagens! Jag har kollat lite på PoE till en grej jag ska bygga på jobbet, men i alla appnotes jag hittar så står aldrig skillnad mellan isolerad och oisolerad. Det jag skulle vilja veta/ha referenser till om någon har är när man ska ha isolerad och när man inte behöver det. Jag tycker inte om att s...
av Korken
25 januari 2015, 16:26:17
Kategori: Inbäddade system / Inbyggda system / IoT
Tråd: Seriekommunikation med AVRmega, egen observation
Svar: 10
Visningar: 2054

Re: Seriekommunikation med AVRmega, egen observation

Dock, att mäta bit-tid är ingen lätt metod att få robust. :humm: Tex om det kommer mycket data där sekvenser med 10101010 inte kommer, då kan man få fel ganska så rejält. Det man får göra är lägga in mer logik för att kolla dessa fall med multiplar. Men man får ha tungan på rätt vinkel när man skriv...
av Korken
25 januari 2015, 12:13:25
Kategori: Inbäddade system / Inbyggda system / IoT
Tråd: Seriekommunikation med AVRmega, egen observation
Svar: 10
Visningar: 2054

Re: Seriekommunikation med AVRmega, egen observation

Har du testat detta över det totala temperaturområdet, spänningsintervallet för processorerna samt chip från olika batchar? Utan detta kan man tyvärr inte direkt säga något. Jag gjorde lite lätta tester för ganska länge sedan och att bara lägga kretskorten i frysen och sedan försöka så kunde man få ...
av Korken
24 januari 2015, 14:39:14
Kategori: Allmän Elektronik
Tråd: Bästa Oscilloscope och Lab Power Supply för nybörjare?
Svar: 28
Visningar: 3636

Re: Bästa Oscilloscope och Lab Power Supply för nybörjare?

Oj, 6500kr? Då skulle jag rekommendera här istället: http://www.instrumentcenter.se/sv/progr ... l-195w.php
Ish 3000kr.
av Korken
24 januari 2015, 12:16:54
Kategori: Allmän Elektronik
Tråd: Bästa Oscilloscope och Lab Power Supply för nybörjare?
Svar: 28
Visningar: 3636

Re: Bästa Oscilloscope och Lab Power Supply för nybörjare?

Ett labbagg som jag gillar är Rigols DP832. Kör den utan uppgraderingar och sedan googla "Riglol", det är en keygen för att kunna låsa upp Rigol produkter. Är dock osäker om den fungerar längre, men chansen finns. :) Det funkar utmärkt att fixa ytterligare funktionalitet på detta vis fort...