Sökningen fann 490 träffar

av kodar-holger
5 juli 2023, 06:16:03
Kategori: Övriga komponenter
Tråd: Ringlasergyro?
Svar: 2
Visningar: 1615

Re: Ringlasergyro?

https://www.saab.com/products/fiber-optic-gyro-products

Om dom går att köpa av en vanlig dödlig vet jag inte. Och behöver man fråga priset antar jag att man inte har råd.
av kodar-holger
17 april 2023, 21:12:35
Kategori: Programmering
Tråd: Tips. On-line C++ kompilator
Svar: 27
Visningar: 12839

Re: Tips. On-line C++ kompilator

Kod ska skrivas så den är lätt att testa. Therac-25 dödade 6 personer med radioaktiv strålning pga någon klantskalle till programmerare. Låter som dåliga rutiner om en programmerare kan skriva fel som leder till dödsfall.. Riktigt så enkelt var det inte. Precis som olyckan med Ariane 5 så berodde d...
av kodar-holger
12 april 2023, 06:22:02
Kategori: Projekt
Tråd: Huggbäverns synttråd (nytt namn)
Svar: 670
Visningar: 243759

Re: Huggbäverns synttråd (nytt namn)

Tyvärr inga så små. 2764 finns men passar inte för den har fler ben. Men om du inte har färdiga PCB utan kan passa in något större så...
av kodar-holger
11 april 2023, 21:31:35
Kategori: Projekt
Tråd: Huggbäverns synttråd (nytt namn)
Svar: 670
Visningar: 243759

Re: Huggbäverns synttråd (nytt namn)

Vad är det för EPROM? Av vissa storlekar har jag sannolikt betydligt fler än min återstående förväntade livstidsförbrukning.
av kodar-holger
5 april 2023, 21:51:27
Kategori: Allmän Elektronik
Tråd: Gamla Elfa artikelnummer
Svar: 7
Visningar: 772

Re: Gamla Elfa artikelnummer

20230405_214649.jpg
Katalog 49 från år 2000. * betyder utgår ur sortimentet
av kodar-holger
12 mars 2023, 08:48:08
Kategori: Allmän Elektronik
Tråd: TTL-Oscillator ?
Svar: 11
Visningar: 593

Re: TTL-Oscillator ?

Hittade den här i mina gömmor. Kopia ur nån bok jag inte minns.
av kodar-holger
11 mars 2023, 16:05:50
Kategori: Programmering
Tråd: Projekt i Visual Studio
Svar: 5
Visningar: 2311

Re: Projekt i Visual Studio

Ett projekt kompileras till en färdig binär, vanligtvis en exe eller dll. En solution binder ihop flera projekt så att man kan bygga en hel solution och Visual Studio får själv räkna ut vilket/vilka projekt som behöver byggas om. När det gäller beroenden mellan filer tycker jag den verkar klara det ...
av kodar-holger
25 januari 2023, 23:22:01
Kategori: Övriga komponenter
Tråd: Förstår inte formel (Matte problem)
Svar: 21
Visningar: 3894

Re: Förstår inte formel (Matte problem)

Ja, det måste finnas något mer. Det står ju dessutom i databladet att parametrarna är beroende av samplingshastigheten men formlerna har inte med det. Så någonstans måste det finnas en formel för hur man konverterar parametrarna till registervärden. Kan du fråga på Analog Devices utvecklarforum? Om ...
av kodar-holger
2 januari 2023, 17:23:47
Kategori: Programmering
Tråd: SWEREF99TM transform?
Svar: 5
Visningar: 6400

Re: SWEREF99TM transform?

Det här använder jag. Tack. Gjorde en quick and dirty översättning till Delphi. Funkar U.A. Så nu kan jag klicka på en knapp på geotaggade bilder i mitt bildregister och få upp en nål i lantmäteriets karttjänst "minkarta". lm_brag.png Här finns färdiga bibliotek o program för att transfor...
av kodar-holger
1 januari 2023, 10:16:38
Kategori: Programmering
Tråd: SWEREF99TM transform?
Svar: 5
Visningar: 6400

SWEREF99TM transform?

Nån som har implementerat transformation från WGS84 lat/lon till SWEREF99TM och kan dela med sig? Suttit och kollat på lantmäteriets hemsida en bra stund men det är väl värsta stället förstår jag för dom vill ju ha det rätt ner till mm. Jag bryr mig i bästa fall inom 10m och bryr mig inte så mycket ...
av kodar-holger
9 november 2022, 20:52:23
Kategori: Projekt
Tråd: Enkel Konstlast
Svar: 31
Visningar: 3560

Re: Enkel Konstlast

Japp, massor. Trådarna i serie
av kodar-holger
22 oktober 2022, 10:09:34
Kategori: Projekt
Tråd: Återupplivningsförsök HP5150A - Printer anno 1977
Svar: 1
Visningar: 916

Återupplivningsförsök HP5150A - Printer anno 1977

På hyllan i mitt elektroniklabb har det stått en HP 5150A i många år. Det är en skrivare avsedd att skriva mätvärden på en kvittoremsa. Beroende på vilka optioner man har kan den ha klocka som tidsstämplar mätvärdesutskrifter, GPIB-anslutning, scanner eller BCD-interface. Jag har bara option 001 som...
av kodar-holger
22 oktober 2022, 10:04:00
Kategori: Inbäddade system / Inbyggda system / IoT
Tråd: Temperaturgivare till Arduino och Pi – DS18B20 men bättre
Svar: 28
Visningar: 7466

Re: Temperaturgivare till Arduino och Pi – DS18B20 men bättre

Måste det vara krympslang? Du kan ju skala av snuttar från en regnbågsfärgad flatkabel.
av kodar-holger
18 oktober 2022, 22:27:49
Kategori: Programmering
Tråd: Python, bra eller mediokert ?
Svar: 64
Visningar: 15481

Re: Python, bra eller mediokert ?

Jag har ingen aning om vad som finns i pyton, men en talangfull programmerare kan skriva fortran i vilket programspråk som helst. Samma sak med objektorientering. Det går bra att köra objektorientering i vilket språk som helst. De OO-konstruktioner som finns i de flesta språk har ändå inte mycket me...
av kodar-holger
6 september 2022, 21:31:42
Kategori: Allmän Elektronik
Tråd: Solartron 7151, räddad i grevens tid
Svar: 11
Visningar: 1201

Re: Solartron 7151, räddad i grevens tid

Jag skulle sätta i en vanlig batterihållare med tre AA och en diod.