Sökningen fann 1958 träffar

av Korken
19 juni 2015, 17:06:09
Kategori: Inbäddade system / Inbyggda system / IoT
Tråd: Oversampling av ADC på Arduino/AVR
Svar: 30
Visningar: 10722

Re: Oversampling av ADC på Arduino/AVR

Cortex-M4 är nästan en DSP ;)
av Korken
9 juni 2015, 16:45:00
Kategori: Projekt
Tråd: Korkens Optical Flow sensor
Svar: 239
Visningar: 76966

Re: Korkens Optical Flow sensor

Agwan: Det går sakta just nu, vi håller på att avslutar allt på universitetet för detta läsår som tar min tid (plus konferens som jag åker till på måndag). När det kommer till hjälp, så är jag lite osäker dock på vart. :humm: Jag har bra koll på vad som behöver göras, bara lite med tid innan semest...
av Korken
25 maj 2015, 10:25:07
Kategori: Projekt
Tråd: Korkens Optical Flow sensor
Svar: 239
Visningar: 76966

Re: Korkens Optical Flow sensor

Okej, CDC drivaren som spökar lite alltså. Det har jag också märkt när man kör vanlig FT232 tex, så håller mig som max på 1Mbaud. Ang. SPI, har inte tänkt på det så! Det är mycket bra idé. Så kan man se hela sensorn som ... en sensor. :) Som alla vanliga IMUer osv. Jag lägger in det som en del i all...
av Korken
24 maj 2015, 19:16:30
Kategori: Inbäddade system / Inbyggda system / IoT
Tråd: IEEE 802.15.4?
Svar: 7
Visningar: 2987

Re: IEEE 802.15.4?

Ta ett valfritt CC25xx chip från TI som uppfyller dina krav (kanske med en förstärkare också CC2591) samt en MCU som passar dina krav.
Finns en blogg om en kille som testade de chippet och fick riktigt bra resultat. Ska se om jag hittar den.
av Korken
23 maj 2015, 10:10:36
Kategori: Projekt
Tråd: Korkens Optical Flow sensor
Svar: 239
Visningar: 76966

Re: Korkens Optical Flow sensor

Oj, visste inte om detta problem med USBn! :tumner: Har du mer info på detta? Jag har som plan till hösten att ha FTDIs USB 3.0 bridge på det riktiga machine vision kortet, hoppas inte den kommer lida av samma problem. Att ha ethernet på ett annat kort skulle fungera de med! Har gott om plats för en...
av Korken
21 maj 2015, 16:46:55
Kategori: Projekt
Tråd: Korkens Optical Flow sensor
Svar: 239
Visningar: 76966

Re: Korkens Optical Flow sensor

Så, nu har designen för den Zynq-baserade versionen börjar komma på pränt! Fick bli en 7Z010 i CLG225 kapsel @ 633 MHz med 128MB @ 16 bit DDR3 RAM vilket borde räcka för allt jag vill göra och bli över. En grej som jag dock funderar på och inte bestämt än, hur tycker ni att outputen från sensorn bör...
av Korken
15 maj 2015, 11:10:41
Kategori: Projekt
Tråd: Korkens Optical Flow sensor
Svar: 239
Visningar: 76966

Re: Korkens Optical Flow sensor

Jodå, den är riktigt trevlig! :) Jag va väldigt skeptisk till en början för att vara ärlig. Det som jag inte gillade va att de tvingade en att använda AXI (där jag jämt gjort mina egna bussar mellan komponenter förut). Men efter att ha testat det och verkligen börjat förstå det så måste jag säga att...
av Korken
14 maj 2015, 18:20:51
Kategori: Projekt
Tråd: Korkens Optical Flow sensor
Svar: 239
Visningar: 76966

Re: Korkens Optical Flow sensor

Har lekt med ZYNQen ett par dagar nu och har kommit in i tänket med AXI och PS-PL kommunikation. Det va riktigt trevligt när man kom in i det! :tumupp: Ska ta och se om jag lyckas göra en simpel image processing pipeline med de kort jag har nu genom att ha ett block som skapar en bild istället för e...
av Korken
14 maj 2015, 09:34:05
Kategori: Projekt
Tråd: Dina mini-projekt!
Svar: 7081
Visningar: 1809879

Re: Dina mini-projekt!

Slängde ihop ett case till mitt ZYBO utvecklingskort för ZYNQ.
ZYBO_case_top.jpg
ZYBO_case_bottom.jpg
av Korken
10 maj 2015, 15:49:11
Kategori: Projekt
Tråd: Korkens Optical Flow sensor
Svar: 239
Visningar: 76966

Re: Korkens Optical Flow sensor

Att lära sig och använda den är ingen fara, ska till efter sommaren börja på en 3D sensor baserad på Zynq 7Z030, så det måste jag lära mig iaf. :) Men jag har lite koll på det just nu, AXI delen börjar falla på plats, bara vanan kvar. Det som mest gör mig fundersam just nu är PS delen. Har lekt med ...
av Korken
8 maj 2015, 17:29:30
Kategori: Projekt
Tråd: Korkens Optical Flow sensor
Svar: 239
Visningar: 76966

Re: Korkens Optical Flow sensor

Hmm, jag har nu jämfört Artix-7 och Zynq-7000, och man får en Zynq för 100kr extra. Det lockar väldigt mycket att köra den av fyra anledningar: 1. Den har en CPU direkt, så tex sup-pixel registreringen jag behöver göra skulle vara mycket enklare att utföra (en minsta kvadratmetod plus optimering av ...
av Korken
7 maj 2015, 19:20:05
Kategori: Projekt
Tråd: Korkens Optical Flow sensor
Svar: 239
Visningar: 76966

Re: Korkens Optical Flow sensor

Han hade lite spekulationer, men summa summarum va: Svårt att dra några direkta lärdomar av det xilinx föreslår här är jag rädd, känns som om texten är tagen ur en större rapport och att för många detaljer tagits bort. Så vi får leva med det tror jag... :humm: Dock så är det inte bra att vi diskuter...
av Korken
7 maj 2015, 16:53:53
Kategori: Programmering
Tråd: Fråga om VHDL (Xilinx FPGA)
Svar: 41
Visningar: 33033

Re: Fråga om VHDL (Xilinx FPGA)

Har fixat en renskriven version för de som vill studera den :) Constraints: N ska vara power of 2 annars blir det snett i pipelinen och större än 32. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; use work.misc_functions.all; ----------------------------...
av Korken
7 maj 2015, 08:54:11
Kategori: Projekt
Tråd: Korkens Optical Flow sensor
Svar: 239
Visningar: 76966

Re: Korkens Optical Flow sensor

Ahhh, justja - man kan ju köra det genom PS delen och inte använda PL öht! Det är mycket enklare skulle jag gissa på än att använda Ethernet i en vanlig FPGA. :) Har ni testat att köra ethernet från bare-metal? Jag skulle iaf inte vilja ha ett helt linux körandes där. Såg att FreeRTOS och lwIP stöds...
av Korken
5 maj 2015, 22:14:58
Kategori: Projekt
Tråd: Korkens Optical Flow sensor
Svar: 239
Visningar: 76966

Re: Korkens Optical Flow sensor

Jodå, för Artix-kortet så blir det inte något så avancerat, då skulle det projektet aldrig bli klart. :) Jag funderar dock mer för framtida användning för att kunna strömma en bildström till minne i datorn för att testa algoritmer innan man börjar trycka in dom i en FPGA. Att utveckla en algoritm fr...