Sökningen fann 1958 träffar

av Korken
15 juni 2016, 21:48:33
Kategori: Projekt
Tråd: Korkens Optical Flow sensor
Svar: 239
Visningar: 76943

Re: Korkens Optical Flow sensor

Hehe, fick ett PM från Agwan som pratade i samma linjer idag. :) De monokulära sensorn är på is, jag har inte råd att skaffa in kamerachippen. De har blivit så populära att de bara finns i 152 MOQ (ish 20kkr) och jag är bara på jakt efter några få för prototyper. Däremot har ett nytt projekt kommit ...
av Korken
31 oktober 2015, 14:56:40
Kategori: Programmering
Tråd: Fråga om VHDL (Xilinx FPGA)
Svar: 41
Visningar: 32990

Re: Fråga om VHDL (Xilinx FPGA)

Jo precis, det är den fysiska biten. Kodningen i mitt fall är som jag skrev i inlägget 1XXXXXXXXXX0 (start - 10-bit payload - stop) och jag har gjort ett gäng olika statemachines för att koda av detta samt att använda BITSLIP funktionaliteten av SERDES blocket för att synca datat. Så detta gick gans...
av Korken
23 oktober 2015, 21:16:49
Kategori: Programmering
Tråd: Fråga om VHDL (Xilinx FPGA)
Svar: 41
Visningar: 32990

Re: Fråga om VHDL (Xilinx FPGA)

Hej igen! Då va det dags för nästa fråga! :) Jag har under senaste tiden arbetat med att lära mig LVDS SERDES och, efter mycket slit, så kan jag dessa ganska bra nu. Då kom nästa problem som jag skulle vilja ha lite vägledning i, så jag inte övertänker mitt problem och skapar dålig kod. :) Tack på f...
av Korken
2 september 2015, 07:28:47
Kategori: Programmering
Tråd: Från Windows till Linux
Svar: 20
Visningar: 12025

Re: Från Windows till Linux

Jag kör med GTK# när jag fixar cross-platform grejer. Funkar fin fint och är enkelt att använda.
Det enda jag inte lyckats få till är 3D rendering i en liten ruta... Måste komma på något där. :)
av Korken
19 juli 2015, 11:09:45
Kategori: Idébanken
Tråd: FPV Race tracking
Svar: 16
Visningar: 14807

Re: FPV Race tracking

Jag forskar med de modulerna och hastighetsbegränsningen är lite luddig.
Samt att arbeta med chippen är inte det lättaste så inget jag rekommenderar för en nybörjare.
av Korken
19 juli 2015, 11:03:51
Kategori: Allmän Elektronik
Tråd: Statevariable filter...
Svar: 8
Visningar: 1864

Re: Statevariable filter...

Nu kan jag 0 om ljudvärlden men det låter intressant :)
Dynamiska system finns överallt, så reglerteknik kommer där man minst anar det. Ofta med andra namn mot vad vi med bakgrund i reglering har som du påpekar!
av Korken
18 juli 2015, 12:31:16
Kategori: Allmän Elektronik
Tråd: 340 Mbps LVDS i flat flex kabel?
Svar: 3
Visningar: 1373

Re: 340 Mbps LVDS i flat flex kabel?

Tänkte skaka liv i en gammal tråd igen då detta är aktuellt igen. Jag har simulerat olika flat flex kablar nu i Si9000 field solvern, och resultatet är att alla ligger på 110-115 ohm om man har | GND | S+ | S- | GND | i kabeln. Dvs att man har ett signalpar och två jordledare kring det. Har designat...
av Korken
18 juli 2015, 12:17:28
Kategori: Allmän Elektronik
Tråd: Statevariable filter...
Svar: 8
Visningar: 1864

Re: Statevariable filter...

Haha, trodde det va någon som ville göra ett Kalman filter men inte viste vad det hette :D
"state variable"-filter är vad vi inom reglerteknik kallar för en Luenberger observer (en vanlig tillståndsobservatör för dynamiska system).

Förlåt för off-topic men kunde inte hålla mig! :)
av Korken
11 juli 2015, 18:15:46
Kategori: Mätinstrument / Verktyg / Labbutrustning
Tråd: Keysight refurbished oscilloscopes?
Svar: 12
Visningar: 17953

Re: Keysight refurbished oscilloscopes?

Tackar för allas input! Nu är ett MSOX3054 på väg :)
av Korken
6 juli 2015, 14:58:53
Kategori: Allmän Elektronik
Tråd: Hitta elektronikkonsulter (inom embedded)?
Svar: 4
Visningar: 1691

Re: Hitta elektronikkonsulter (inom embedded)?

Tackar!

Konkreta tips samt reklam är precis vad jag är ute efter! :)
av Korken
5 juli 2015, 17:01:06
Kategori: Allmän Elektronik
Tråd: Hitta elektronikkonsulter (inom embedded)?
Svar: 4
Visningar: 1691

Hitta elektronikkonsulter (inom embedded)?

Godagens! Nu ska jag utnyttja detta forums kunskapsbanker som ny företagare :) Jag har funderat sen jag startat ett litet företag om att få hjälp med design av kretskort för en prototyp av en idé jag har. Men att också kanske få hjälp att fixa med delar av programmeringen till det också. Så det som ...
av Korken
25 juni 2015, 09:09:39
Kategori: Inbäddade system / Inbyggda system / IoT
Tråd: Oversampling av ADC på Arduino/AVR
Svar: 30
Visningar: 10716

Re: Oversampling av ADC på Arduino/AVR

Som sakt, mycket synd att de inte hade med double-FPUn.

Får vänta på nästa version av F7an :)
av Korken
22 juni 2015, 09:49:55
Kategori: Inbäddade system / Inbyggda system / IoT
Tråd: Oversampling av ADC på Arduino/AVR
Svar: 30
Visningar: 10716

Re: Oversampling av ADC på Arduino/AVR

Har du testat prestandan med float och double på den?
Float borde vara single cycle men är intresserad av double prestandan i den. :)

Kör gärna samma kod du visade tidigare, om du orkar.
av Korken
20 juni 2015, 15:42:04
Kategori: Inbäddade system / Inbyggda system / IoT
Tråd: Oversampling av ADC på Arduino/AVR
Svar: 30
Visningar: 10716

Re: Oversampling av ADC på Arduino/AVR

Bara synd att F7an går så sakta... :(
Kolla Atmels SAM V serie som också är en M7a, den tuffar i 300 MHz.

Trodde ST skulle kontra fort, men de har hittills inte gjort det.
Samt att ST inte fixat en i 64-pins kapsel än gör mig lite ledsen.
av Korken
19 juni 2015, 20:10:51
Kategori: Inbäddade system / Inbyggda system / IoT
Tråd: Oversampling av ADC på Arduino/AVR
Svar: 30
Visningar: 10716

Re: Oversampling av ADC på Arduino/AVR

Nu inväntas bara Cortex-M7! Då blir det riktig fart!
Samt med stöd för double precision i HW så kan man köra riktiga optimeringsalgoritmer :D