Sökningen fann 587 träffar

av mankan
29 augusti 2023, 20:08:05
Kategori: Programmering
Tråd: Linux: Debugger i efterhand?
Svar: 9
Visningar: 13611

Re: Linux: Debugger i efterhand?

Har du provat ansluta gdb till den körande processen (gdb -p <pid>) ? Testat strace?
Annars kanske https://www.youtube.com/watch?v=-n9Fkq1e6sg vara till hjälp, ca 37min in.
av mankan
18 augusti 2023, 19:33:49
Kategori: Inbäddade system / Inbyggda system / IoT
Tråd: Blandat Arduino-skoj
Svar: 54
Visningar: 10225

Re: Blandat Arduino-skoj

Ytterligare en grej att tänka på angående volatile är om man har kod: struct foo { int a; int b; } void update_foo(foo *f) { f->a = 10; f->b = 0; } så kan kompilatorn fritt ändra ordningen på tilldelningen vilket kan ställa till det om vissa kombinationer av värden indikerar ett visst tillstånd när ...
av mankan
15 augusti 2023, 19:37:27
Kategori: Inbäddade system / Inbyggda system / IoT
Tråd: Blandat Arduino-skoj
Svar: 54
Visningar: 10225

Re: Blandat Arduino-skoj

Det där kan man ju lösa med mha millis() utan att krångla med avbrott.
av mankan
7 augusti 2023, 23:33:46
Kategori: Programmering
Tråd: Att skriva PHP tillsmmans.
Svar: 35
Visningar: 23960

Re: Att skriva PHP tillsmmans.

Antar att du råkade blanda ihop mig med Marta? :O Ber om ursäkt för ihopblandningen. Vill dock hävda att git är inget svårare än något annat versionshanteringssystem att komma igång med. Alla löser mer eller mindre samma problem fast på olika sätt. Gits problem enligt mig är det finns många olika s...
av mankan
7 augusti 2023, 19:55:53
Kategori: Programmering
Tråd: Att skriva PHP tillsmmans.
Svar: 35
Visningar: 23960

Re: Att skriva PHP tillsmmans.

Håller med Kulla till 100% här. Gratis, privat git-repo på github eller liknande tjänst är perfekt till hobbyprojekt. edit: Marta, blanda inte ihop versionshanteringssystem med utvecklingsmiljö/editor. Visst bra integration med versionshanteringssystem kan göra utvecklingen smidigare men git i sig ä...
av mankan
4 maj 2023, 06:20:25
Kategori: Inbäddade system / Inbyggda system / IoT
Tråd: Minsta realistiska värdet på en float för inbyggda system i C?
Svar: 29
Visningar: 6493

Re: Minsta realistiska värdet på en float för inbyggda system i C?

Inte ens i testkod blir flyttalsberäkningar exakta. Det beror på CPU och kompilatorflaggor samt kringliggande kod. Har sprungit in det på jobbet med x86_64 och AVX(2 och 512)-instruktioner.
av mankan
23 april 2023, 09:11:10
Kategori: Mjukvara / Litteratur
Tråd: Lite mjukvaruhistoria. EMACS.
Svar: 14
Visningar: 3785

Re: Lite mjukvaruhistoria. EMACS.

Japp, använder Emacs som IDE dagligen.
av mankan
19 april 2023, 20:33:24
Kategori: Mätinstrument / Verktyg / Labbutrustning
Tråd: Insex till justerskruvarna på Fender bas.
Svar: 20
Visningar: 2944

Re: Insex till justerskruvarna på Fender bas.

Wera Kraftform Micro finns i 1.3mm (05118064001) och i 0.05 (1/20) tum (05118074001). Conrad: 825648 och Conrad: 825714
av mankan
23 mars 2023, 22:43:49
Kategori: Allmän Elektronik
Tråd: Tnc till mcx adapter
Svar: 5
Visningar: 638

Re: Tnc till mcx adapter

Börja med att ta mått på det du tror är MCX, stämmer det så är det enkelt. Conrad, eBay och Amazon är där man hittar adaptrar. Andra snarlika kontakter är SMB, SSMB, MMCX osv. Givetvis finns det adaptrar av bättre kvalisort men GNSS-frekvenser spelar det nog mindre roll.
av mankan
25 februari 2023, 18:41:23
Kategori: Inbäddade system / Inbyggda system / IoT
Tråd: Silicon Labs WF200/Microchip ATWINC1500 - Värt att testa?
Svar: 83
Visningar: 23322

Re: Silicon Labs WF200/Microchip ATWINC1500 - Värt att testa?

Först: tack Kafeman för att du tar dig tiden med dina inlägg. Jag som hobby-RF-konstruktör och innehavare av 3 VNA:er (varav jag har reparerat eller modifierat alla) och 1 en hemmabygd SNA lär mig saker på dina inlägg. För att återgå till tråden: Att köpa en NanoVNA är inte helt enkelt då den den fi...
av mankan
14 februari 2023, 19:00:29
Kategori: Guider / FAQ
Tråd: FM detektor med fördröjning och informativa videor
Svar: 2
Visningar: 2745

Re: FM detektor med fördröjning och informativa videor

Alan är en av mina favoriter, tyvärr gör han inte så många nya videos.
Han är grymt pedagogisk.
av mankan
30 januari 2023, 22:00:10
Kategori: Inbäddade system / Inbyggda system / IoT
Tråd: Wifi i jäskärl av stål
Svar: 14
Visningar: 4166

Re: Wifi i jäskärl av stål

Har haft samma problem fast jäshink i plast i ett vanligt kylskåp (och med hemmabyggd iSpindel) men jag klarade mig med en Wi-Fi-repeater i samma rum men under tiden klurade jag på en passiv lösning, dvs en antenn på insidan kopplad till en annan antenn på utsidan. Hur realiserbart det hade varit ve...
av mankan
8 januari 2023, 21:30:30
Kategori: 3D-Skrivare
Tråd: Ultimaker cura senaste raspberry pi 400?
Svar: 11
Visningar: 3628

Re: Ultimaker cura senaste raspberry pi 400?

Kanske chmod a+x ./Ultimaker-Cura-5.2.1-Linus.modern.appimage hjälper.
av mankan
2 januari 2023, 23:50:19
Kategori: Projekt
Tråd: Dina mini-projekt!
Svar: 7105
Visningar: 1839902

Re: Dina mini-projekt!

Många miniprojekt på en gång, har gått igen hemmalabbets grejer efter RIFA-kondingar då jag hade en lycklig incident* med min HP 8720C på reparationsbänken började ryka och bli lite varm så det var bara att rycka ur sladden och börja skruva isär ännu mer för att hitta att X-kondingen hade börjat ge ...
av mankan
1 januari 2023, 21:48:06
Kategori: 3D-Skrivare
Tråd: Visa upp vad vi friformat! (3D-additiv tillverkning)
Svar: 1719
Visningar: 650964

Re: Visa upp vad vi friformat! (3D-additiv tillverkning)

Under mitt RIFA-utrotningsrace i labbet så upptäckte jag att bakstycket på min bänkmultimeter Agilent 34401 endast var halvt och dessutom trasigt. Nån vänlig själ hade redan designat, så det var bara att printa.
New_rear_bezel.jpg