VHDL / Verilog

PIC, AVR, Arduino, Raspberry Pi, Basic Stamp, PLC mm.
bos
Inlägg: 2273
Blev medlem: 24 februari 2007, 23:29:15
Kontakt:

VHDL / Verilog

Inlägg av bos »

Jag kan ingenting om någonting när det gäller detta ämne, men för att vidga mina vyer tänkte jag börja skrapa lite på ytan för att se vart det leder. Enligt vad jag hittat på google och diverse forum verkar det vara rent religiösa skäl och smaksak som skiljer de båda åt. Det var ungefär samma för 10 år sen när jag skulle börja med PIC / AVR, och det slutade med att jag på måfå råkade börja med PIC (vilka jag jobbar med än idag).

Men Verilog/VHDL, utan någon som helst förkunskap; vilket av dem är lämpligt att börja med? Och hur? Böcker, simulatorer, tutorials? Jag har tittat på http://www.fpga4fun.com/ samt läst https://blog.digilentinc.com/battle-ove ... rue-champ/ men längre än så har jag inte kommit. Jag har inga specifika projekt på gång, utan är som sagt bara ute efter att skrapa lite på ytan; leka och testa.

Jag gillar att läsa böcker, så länkar till lämplig litteratur tas gärna emot då jag inte har något emot att läsa teori i en månad innan jag börjar testa saker.
Användarvisningsbild
AndLi
Inlägg: 17051
Blev medlem: 11 februari 2004, 18:17:59
Ort: Knivsta
Kontakt:

Re: VHDL / Verilog

Inlägg av AndLi »

Jag försökte hitta en nybörjarkurs i verilog, gick inte.. I Sverige börjar vi med VHDL punkt :)

Så kör VHDL du, största steget är det parallella tänket. .
BJ
Inlägg: 8185
Blev medlem: 11 april 2007, 08:14:53
Ort: En_stad

Re: VHDL / Verilog

Inlägg av BJ »

Vad det kostar vet jag inte ( = dyrt),
men för att simulera vhdl har jag använt
Modelsim. En ganska ny version (då)
som kan visa signaler som analoga kurvor.
Jättepraktiskt om man håller på med filter
och "analoga" signaler.

Ungefär så här:
http://web.mit.edu/6.111/www/f2016/hand ... 31_out.png
XorXaX
Inlägg: 409
Blev medlem: 27 maj 2004, 09:44:16

Re: VHDL / Verilog

Inlägg av XorXaX »

Som sagt tidigare, i Sverige börjar vi med VHDL. Kanske mest tack vare Stefan Sjöholm, och hans bok VHDL för konstruktion, som används som kursbok på många högskolor. Han håller även kurser i avancerad programmering med VHDL för företag. Den senaste jag gick på med honom bar utveckla i VHDL men simulera sedan med Verilog :)

Lattice har bra och billiga utvecklingskort och en bra utvecklingsmiljö, Lattice Diamond. Med en gratis simulator, inte i samma klass som MultiSim , men gratis och den räcker gott för en nybörjare.

Jag skulle rekommendera att kolla i VHDL för konstruktion. Fördelen med VHDL är ju att du kan köra det på vilken FPGA som helst, om du inte använt några obskyra IP-block. Och simulerar gör man tills programmet fungerar, sen laddar man ner det på hårdvaran ;)
Användarvisningsbild
lillahuset
Gått bort
Inlägg: 13969
Blev medlem: 3 juli 2008, 08:13:14
Ort: Norrköping

Re: VHDL / Verilog

Inlägg av lillahuset »

Jag tror det finns riktigt bra gratisverktyg från de flesta FPGA-tillverkarna. Personligen har jag använt (för länge sedan) verktyg från Xilinx, Altera, Cypress, Actel och Lattice. Kanske någon mer. Altera vet jag inte säkert om det var gratis eftersom det var en kund som lånade ut datorn med verktygen.
Problemet med gratisverktyg är att man låser upp sig, nåja, mer eller mindre, hos en tillverkare.
Det finns ju också fria verktyg men de var inge vidare när jag höll på. Idag kanske de är riktigt bra.
Rimligen är kommersiella verktyg bättre men det är inte helt säkert eftersom bra gratisverktyg driver på kiselförsäljningen.
Mr Andersson
Inlägg: 1394
Blev medlem: 29 januari 2011, 21:06:30
Ort: Lapplandet

Re: VHDL / Verilog

Inlägg av Mr Andersson »

Visst finns det en viss inlåsningseffekt med kommersiella verktyg men språken är i största del samma mellan alla så länge man inte använder tillverkarspecifika extensions, så för att lära sig tror jag det inte spelar så stor roll vilken tillverkare man väljer. Sen vilket språk man bör välja beror lite på var i världen man vill jobba. Verilog är stort i USA och asien medan europa kör mest VHDL. För hobbybruk välj det som verkar roligast :).
Personligen skulle jag ranka dem SystemVerilog > VHDL > Verilog. Men jag har inte sett någon toolchain än som har fullt SV-stöd.

Sen finns det även C-kompilatorer för FPGA:er men iaf för xilinx så ingår inte SDSOC i gratispaketet så jag vet inte hur bra de fungerar.
Användarvisningsbild
ojz0r
Inlägg: 244
Blev medlem: 14 september 2007, 19:38:04
Ort: Växjö

Re: VHDL / Verilog

Inlägg av ojz0r »

Det går att få tag på billiga FPGA/CPLD från ebay från china, om du står ut med leveranstiden.

Jag började på hobbybasis med Verilog direkt utan att gå till VHDL (som jag fortfarande inte har lärt mig).

Verilog är likt C vilket kanske kan sänka tröskeln om du kommer från den sidan.

Både Altera och Xilinix har gratis programmeringsverktyg för de mer basala serierna, vilket räcker gott och väl att börja med.
bos
Inlägg: 2273
Blev medlem: 24 februari 2007, 23:29:15
Kontakt:

Re: VHDL / Verilog

Inlägg av bos »

Tack för svaren.

Trots rekommendationerna ovan lutar det nu troligtvis åt Verilog, eftersom jag kommer från just C-världen. Aliexpress har kompletta Cyclone IV-kort för futtiga $33, så jag börjar troligen där.

Då återstår bara teorin och dokumentation, och en korkad fråga: är Verilog - språket som sådant - samma oberoende av plattformen? Finns det plattformsspecifika utökningar?

.
Mr Andersson
Inlägg: 1394
Blev medlem: 29 januari 2011, 21:06:30
Ort: Lapplandet

Re: VHDL / Verilog

Inlägg av Mr Andersson »

Jag skrev lite slarvigt ser jag. Verilog är verilog, oavsett vilken plattform du har. Det som skiljer är IP-blocken (tänk standardfunktionerna i C). T.ex. om du vill använda dsp:erna eller blockram (inbyggt minne i FPGA:n) så skiljer det sig hur man använder dem.
Jag har inte använt altera/intels prylar så jag kan inte kommentera dem, men xilinx har oftast väldigt bra dokumentation. Jag kan inte tänka mig att altera ska vara så mycket sämre.
cosmox
EF Sponsor
Inlägg: 3854
Blev medlem: 6 januari 2004, 02:11:54
Ort: Hjälteby - Tjörn

Re: VHDL / Verilog

Inlägg av cosmox »

Ett tips om du är nybörjare är att köpa ett kort från någon känd tillverkare (typ Terasic, Digilent mfl). Anledningen är att det är gott att ha så mycket dokumentation som möjligt när saker och ting inte fungerar.

Mitt "favoritkort" på senaste har varit Arrow's Deca.
http://download.siliconexpert.com/pdfs/ ... l_rev1.pdf

Jag har förövrigt ett till övers om det skulle vara av intresse :vissla:
bos
Inlägg: 2273
Blev medlem: 24 februari 2007, 23:29:15
Kontakt:

Re: VHDL / Verilog

Inlägg av bos »

Vilka vettiga gratissimulatorer finns det? De jag googlade fram var bara massa hobbyhack utan större funktionalitet.

.
Användarvisningsbild
lillahuset
Gått bort
Inlägg: 13969
Blev medlem: 3 juli 2008, 08:13:14
Ort: Norrköping

Re: VHDL / Verilog

Inlägg av lillahuset »

Jag är inte helt säker men har för mig att det i Xilinx gratispaket finns en simulator. Förmodligen hos de andra också.
Mr Andersson
Inlägg: 1394
Blev medlem: 29 januari 2011, 21:06:30
Ort: Lapplandet

Re: VHDL / Verilog

Inlägg av Mr Andersson »

Det stämmer. Xilinx har både simulator och chipscope (fånga vågformer från riktig hårdvara) i gratispaketet.
Användarvisningsbild
swesysmgr
Inlägg: 14127
Blev medlem: 28 mars 2009, 06:56:43
Ort: Göteborg

Re: VHDL / Verilog

Inlägg av swesysmgr »

bos skrev:Vilka vettiga gratissimulatorer finns det? De jag googlade fram var bara massa hobbyhack utan större funktionalitet.
Simulator ingår i både Xilinx och Alterasgratismiljöer men kan vara lite uppförsbacke att komma igång med. Riktig hårdvara är mycket roligare och ett bra FPGA-kort från Arrow (Altera), Avnet (Xilinx), Terasic (Altera) m.fl. är inte särskilt dyrt.

Tänk på att detta inte är 8-bitars mikrodatorer utan klockfrekvenserna ställer lite krav på att korten inte är felkonstruerade, att undvika de allra billigaste varianterna är att undvika frustrerande problem.

Några här går/har gått AGSTU-kursen som handlar om FPGA, fråga vad de körde för hårdvara och kursmaterial och vad de tyckte om dem.

Simulera med Altera Quartus:
https://www.altera.com/en_US/pdfs/liter ... sa_qii.pdf

Terasic (Altera) DE0, 732:- + moms:
http://se.farnell.com/terasic-technolog ... dp/2076463
Användarvisningsbild
rvl
Inlägg: 5721
Blev medlem: 5 april 2016, 14:58:53
Ort: Helsingfors

Re: VHDL / Verilog

Inlägg av rvl »

Vad jag minns använder kursen Altera dvs Intel. Icecap är visst med på kursen som startade nyligen.
Skriv svar