Sökningen fann 67 träffar

av gunnerfeldt
8 februari 2018, 07:16:50
Kategori: Allmän Mekatronik
Tråd: Perkla bygger CNC RAW 1.5 - Touch Off....Problem...
Svar: 1746
Visningar: 214641

Re: Perkla bygger CNC RAW 1.5 - Bilduppdatering

Du kan klippa av skärmen på motor sidan. Det räcker att den ansluts till jord på ena sidan. Bäst är att göra ett så kallat stjärnjordsystem. Tex en skena centralt, vid nätagget där du ansluter allt som skall jordas.
av gunnerfeldt
7 februari 2018, 22:20:37
Kategori: Allmän Mekatronik
Tråd: Perkla bygger CNC RAW 1.5 - Touch Off....Problem...
Svar: 1746
Visningar: 214641

Re: Perkla bygger CNC RAW 1.5 - Vad göra med jordledare ???

Anslut till jordpunkt på matningssidan.
av gunnerfeldt
8 juni 2017, 19:36:34
Kategori: Jobb / Tjänster
Tråd: T: Mindre aluminiumblock [LÖST]
Svar: 12
Visningar: 8969

Re: T: Mindre aluminiumblock

Men men men men .. ursäkta nersmutsning i tråden .. men du (CPMS) verkar ju ha precis rätt grejer för det jag söker.
av gunnerfeldt
3 juni 2017, 09:46:50
Kategori: Jobb / Tjänster
Tråd: T: Manuell planfräsning + ev. Svetsning i 6061 Aluminium
Svar: 1
Visningar: 4835

T: Manuell planfräsning + ev. Svetsning i 6061 Aluminium

Jag letar efter någon som kan fräsa 3 ytor i fästet på en gammal Travis Bean elgitarrhals från 70-talet. Eventuellt behöver den stärkas efteråt med en svetsning. Det kanske blir svårt att hitta någon som kan göra https://uploads.tapatalk-cdn.com/20170603/07b70550654ca3f216dc98e202cd0442.png båda. Ja...
av gunnerfeldt
4 april 2017, 11:27:15
Kategori: Allmän Elektronik
Tråd: Direktiv och regler, egen elektronikprodukt
Svar: 20
Visningar: 4018

Re: Direktiv och regler, egen elektronikprodukt

Kontentan .. full fart framåt.
av gunnerfeldt
3 april 2017, 23:09:16
Kategori: Allmän Elektronik
Tråd: Direktiv och regler, egen elektronikprodukt
Svar: 20
Visningar: 4018

Re: Direktiv och regler, egen elektronikprodukt

Ok. Du ser hur mycket jag vet .. nada .. men det gick ju bra ändå.
av gunnerfeldt
3 april 2017, 23:06:45
Kategori: Allmän Elektronik
Tråd: Direktiv och regler, egen elektronikprodukt
Svar: 20
Visningar: 4018

Direktiv och regler, egen elektronikprodukt

Det mest pressande tycker jag är kunder och support. Jag försöker gå ut stenhårt med att det är beta och prototyper. Men det är ett himla gnäll och krav på features och elände. Så jag har gett upp nu ändå :-) Drygt 300 000kr fattigare. Men det var sköj att prova på att utveckla en produkt. Så nu vet...
av gunnerfeldt
3 april 2017, 23:04:09
Kategori: Allmän Elektronik
Tråd: Direktiv och regler, egen elektronikprodukt
Svar: 20
Visningar: 4018

Re: Direktiv och regler, egen elektronikprodukt

Närå. Jag pumpade ut info, hemsida och åkte på mässor.
Allt under 1000 enheter hamnar under radarn skulle jag tro.
av gunnerfeldt
3 april 2017, 22:28:54
Kategori: Allmän Elektronik
Tråd: Direktiv och regler, egen elektronikprodukt
Svar: 20
Visningar: 4018

Re: Direktiv och regler, egen elektronikprodukt

Om du bygger av färdiga moduler så är ju varje modul testad och godkänd redan. Tex så är ju ett nätagg CE märkt och EMC testat. Om det nu är sådana tester du tänker på. Egna kretskort mm. måste väl störningtestas om jag inte fattat det fel. Jag byggde 20 prototyper häromåret. Innehållande ett slutet...
av gunnerfeldt
27 mars 2017, 00:15:05
Kategori: Programmering
Tråd: Skapa ett programvalsystem i C
Svar: 60
Visningar: 34334

Skapa ett programvalsystem i C

Jag hävdar att det går alldeles utmärkt att göra menysystem i C. State machines är brukligt .. och i mina ögon både enkelt och snyggt. Det som är bra med state machines tycker jag är att det är lätt att hoppa ur och avbryta inmatningen. Bara resetta alla states. Samt överskådligt om man vill impleme...
av gunnerfeldt
21 mars 2017, 11:05:42
Kategori: Inbäddade system / Inbyggda system / IoT
Tråd: MPLAB X
Svar: 17
Visningar: 15526

Re: MPLAB X

Ok. Jag har nästan uteslutande använt C18 och nu lite XC8. Där har jag bara använt källkod.
Är det 16 och 32 bitars som har kompilerade?
av gunnerfeldt
21 mars 2017, 10:02:59
Kategori: Inbäddade system / Inbyggda system / IoT
Tråd: MPLAB X
Svar: 17
Visningar: 15526

Re: MPLAB X

Jag testade det för ca 7-8 år sedan. Inget fel på det alls. För det jag gör så fungerar källkod bättre. Tex så skulle jag inte drömma om att skriva mitt eget USB bibliotek. Men att peta lite i ping pong rutinerna i ett befintligt är trevligt. Men som sagt. Jag tycker MikroC verkar väldigt bra och vä...
av gunnerfeldt
21 mars 2017, 08:36:30
Kategori: Inbäddade system / Inbyggda system / IoT
Tråd: MPLAB X
Svar: 17
Visningar: 15526

Re: MPLAB X

Nja.. MikroC har väl endast kompilerade bibliotek. Känns lite väl stelt.
av gunnerfeldt
20 mars 2017, 22:57:27
Kategori: Inbäddade system / Inbyggda system / IoT
Tråd: MPLAB X
Svar: 17
Visningar: 15526

MPLAB X

Kanske det. Men det gäller inte 8 bitars antar jag.
Libbet är daterat 2016 så det uppdateras ju i alla fall.